895 views
1 votes
1 votes

mod of following asynchronous counter is??

Due to the delay of OR gate I think mod is $10$.

1 Answer

Best answer
2 votes
2 votes
I don't think you have to think about delay

Considering that the async counter is simply an UP counter, we'll have to check at what o/p the CLR is activated

From the diagram: CLR = Q3' + Q0'

Only when Q3Q2Q1Q0 = 1001, CLR = 1' + 1' = 0+0 = 0

Whenever CLR is 0 all FFs will be reset

Thus, it can count from 0 to 8  i.e. mod 9
selected by

Related questions

1 votes
1 votes
1 answer
3
dd asked Feb 7, 2017
836 views
How to solve in brief and answer?
0 votes
0 votes
0 answers
4
rahul sharma 5 asked Dec 23, 2016
366 views
Is it possible to use asynchronous /ripple counter to design random sequence?