218 views
0 votes
0 votes

In the 2nd cycle as it is ripple counter Q0 will change and it will 0 so for that reason Q1 will not get clock and it will be in previous state that is 1. So output should be 01. Right??

Please log in or register to answer this question.

Related questions

0 votes
0 votes
0 answers
2
5 votes
5 votes
1 answer
3
pC asked Jan 22, 2017
2,840 views
Identify the mod value of the given riple counter5678