611 views
0 votes
0 votes

2 Answers

1 votes
1 votes
yeah it is 2.66 only because in question the buffers are placed in between the statges,so the 12 ns stage has no buffer so the maximum latency is 12ns for pipeline.
0 votes
0 votes
We don’t use reg delays in non-pipelined systems .
We use reg. delays in pipelined processors to prevent hazards , signaling other stages (which are also working simultaneously ) and for buffer storage , which is not needed in non-pipelined.

so total time for 1 task in non-pipelined system is = (2+6+8+4+12) = 32
so total time for 1 task in non-pipelined system is = 12 = max(2,6,8,4,12)+reg delay(0 or ignorable delay after max-time taking stage) .

performance gain = 32/12 =2.666

Related questions

759
views
0 answers
3 votes
thepeeyoosh asked Jan 13, 2018
759 views
Actually, In this problem what will, we consider getting the answer(upper bound or lower bound) and why?
1.2k
views
4 answers
2 votes
Kartavya Kothari asked Nov 17, 2018
1,223 views
Consider a non­pipelined processor design which has a cycle time of 10ns and average CPI of1.4. The maximum speedup pipelined processor can get by pipelining it into 5 stages and each stage takes 2ns is
1.5k
views
1 answers
7 votes
akb1115 asked Oct 12, 2017
1,466 views
Consider a non-pipelined processor design which has a cycle time of 15ns and average CPI of 1.6. The maximum speedup pipelined processor can get by pipelining it into 5 stages and each stage takes 3ns is______________? 5 6 10 7