3,231 views
0 votes
0 votes

MINIMUM NUMBER OF CLOCK PULSE REQUIRED TO GET SAME INPUT DATA AT OUTPUT WITH SAME CLOCK

Please log in or register to answer this question.

Related questions

357
views
0 answers
0 votes
monanshi asked Dec 3, 2017
357 views
Can someone illustrate with well defined procedure of finding the output timing diagram in the circuit involving various flip flops, some combinational circuit. This circuit ... .in/264/gate2005-62 , http://gateoverflow.in/726/gate2001-2-8
885
views
1 answers
2 votes
sampad asked Oct 7, 2015
885 views
A 4-stage pipelined processor executed the following loop:for(i=1;i<=100;i++){I1; I2; I3; I4;}What is the no. of clocks to execute the above loop?a.13 b.15 c.16 d.18 S1S2S3S4I11212I22121I31121I42121
1.4k
views
2 answers
0 votes
Sandy Sharma asked Dec 26, 2018
1,418 views
Consider the following expression and identify minimum number of registers required to implement the following expression : (a-b)+(e+(c-d))/fCan anyone please give the ... back into R1 since R1=R1+R2. Number of registers used is only 3.